Report QoR Suggestions - 2022.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 English

The report_qor_suggestions command is the principal command used when working with QoR suggestion objects. QoR suggestion objects create both commands and properties to improve the timing performance of the design (see QoR Suggestions for more information).

The report_qor_suggestions command performs two tasks:
  1. Reports on QoR suggestion objects
  2. Generates new QoR suggestion objects

The command can be run on a design at any stage after synthesis.

Also captured in this section are some details on creating a (.rqs) file from the report using the write_qor_suggestions command.