read_checkpoint -incremental - 2022.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2022-11-30
Version
2022.2 English

After the current design is loaded, load the reference design checkpoint using the read_checkpoint -incremental <dcp> command. The -incremental option enables the Incremental Compile design flow for subsequent place and route operations.