Versal アダプティブ SoC デザイン フロー アシスタント

AMD Versal™ アダプティブ SoC デザイン フロー アシスタントでは、AMD アダプティブ コンピューティングのお客様がデザイン フローとその詳細への理解を深め、AMD Versal アダプティブ SoC デザインを正しく構築できるようにサポートします。

このアシスタントでは、お客様のデザイン要件に特定した技術コンテンツおよび詳細情報へのリンクをご案内致します。

デザイン フロー アシスタント: ここから開始してください。

デザイン プランに次のいずれかが含まれる場合は、[はい] をクリックしてください。 
次のいずれにも該当しない場合は、[いいえ] をクリックしてください。

  • AI エンジン ベースのデバイスをターゲットにしている。

  • カスタム プラットフォームが提供される前に、AMD 開発ボードを使用して、独自のサブシステムを開発する予定がある。

  • FPGA 以外の設計者が PL や AI エンジンのコンテンツを作ることがある。 

 

Versal アダプティブ SoC デザイン フローについて

Versal アダプティブ SoC には次の 2 つのデザイン フローがあります。

  • 従来のデザイン フロー

  • プラットフォーム ベースのデザイン フロー

従来のデザイン フロー

従来のデザイン フローでは、1 つの Vivado プロジェクトでシステムの PL 部分全体を定義します。

  • このプロジェクトには、基本的な Versal ハードウェア IP ブロック (Control, Interface, and Processing System (CIPS)、NoC、I/O コントローラーなど) と、プロジェクトに必要なその他のカスタム RTL および IP ブロックが含まれている必要があります。デザイン ソースはツールに追加され、Vivado インプリメンテーション フローでコンパイルされます。
  • システムが PL コンポーネントのみで構成されている場合、Vivado ツールを使用してプログラマブル デバイス イメージ (PDI) を生成し、Versal デバイスをプログラムできます。
  • システムにエンベデッド ソフトウェアも含まれている場合、ソフトウェア アプリケーションは、Vitis 環境を使用して Vivado からエクスポートされた固定ハードウェア デザインの上に開発します。

このフローは、Zynq UltraScale+ MPSoC に使用される従来のフローと非常によく似ています。

プラットフォーム ベースのデザイン フロー

プラットフォーム ベースのデザインフローでは、システムはプラットフォームとプロセッシング システムに分割されます。

  • プラットフォームは、基本的な Versal ハードウェア IP ブロック (CIPS、NoC、I/O コントローラーなど) とソフトウェア機能 (ドメイン、デバイス ツリー、OS など) を含むデザイン リソースで、これを基に完全な稼働システムを構築して統合できます。プラットフォームのハードウェア部分は、最低限必要なハードウェア IP ブロックを含む Vivado プロジェクトです。ソフトウェア コンポーネントをハードウェアと一緒にパッケージして、カスタム プラットフォームを作成します。
  • プロセッシング システムは、システムの主要な機能をインプリメントする PS、PL およびオプションの AI エンジン機能で構成されます。

これらの異なる要素は、Vivado ツールまたは Vitis 環境で作成できます。作成された要素は、Vitis 環境を使用してプラットフォームに統合されます。

このフローにより、システムのさまざまな要素の同時開発が可能となり、ヘテロジニアス システムの統合プロセスが容易になります。

詳細は、『Versal アダプティブ SoC デザイン ガイド』 (UG1273) を参照して詳細ください。

デザイン ハブ ランディング ページ