Debugging - 2.0 English

Lossless Compression LogiCORE IP Product Guide (PG387)

Document ID
PG387
Release Date
2021-10-27
Version
2.0 English

This appendix includes details about resources available on the Xilinx® Support website and debugging tools.

If the IP requires a license key, the key must be verified. The Vivado® design tools have several license checkpoints for gating licensed IP through the flow. If the license check succeeds, the IP can continue generation. Otherwise, generation halts with an error. License checkpoints are enforced by the following tools:

  • Vivado Synthesis
  • Vivado Implementation
  • write_bitstream (Tcl command)
Note: IP license level is ignored at checkpoints. The test confirms a valid license exists. It does not check IP license level.