AXI4 -Stream ポートを宣言 - 2019.2 Japanese

Vitis 統合ソフトウェア プラットフォームの資料: アプリケーション アクセラレーション開発 (UG1393)

Document ID
UG1393
Release Date
2020-02-28
Version
2019.2 Japanese

PFM.AXIS_PORT プロパティを設定する Tcl コマンドは次のとおりです。

set_property PFM.AXIS_PORT { <port_name> {parameters} \
<port_name_2> {parameters} .. } [get_bd_cells <cell_name>] 

引数の説明

Port_name
AXI4-Stream ポート名。
パラメーター
  • type value: ストリーミング インターフェイス ポート タイプ。type の有効な値は、次のとおりです。
    • M_AXIS: 汎用 AXI マスター ポート
    • S_AXIS: 高パフォーマンスの AXI スレーブ ポート

set_property PFM.AXIS_PORT {AXIS_P0 {type "S_AXIS"}} \
[get_bd_cells /zynq_ultra_ps_e_0]