--vivado オプション - 2019.2 Japanese

Vitis 統合ソフトウェア プラットフォームの資料: アプリケーション アクセラレーション開発 (UG1393)

Document ID
UG1393
Release Date
2020-02-28
Version
2019.2 Japanese

–-vivado.XXX オプションは、Vivado ツールを制御するプロパティとパラメーターを設定します。たとえば、最適化、配置、およびタイミングを設定したり、出力するレポートを指定したりできます。

重要: これらのオプションを最大限に活用するため、Vivado Design Suite の使用方法をよく理解しておいてください。詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) を参照してください。

--vivado.param

--vivado.param <arg>

FPGA のバイナリ (xclbin) の合成およびインプリメンテーションに使用する Vivado Design Suite のパラメーターを指定します。

--vivado.prop

--vivado.prop <arg>

FPGA のバイナリ (xclbin) の合成およびインプリメンテーションに使用する Vivado Design Suite のプロパティを指定します。

表 1. prop オプション
パラメーター名 有効な値 説明
vivado.prop:<object_type>.<object_name>.<prop_name> データ型: さまざま Vivado ハードウェア コンパイル フローで使用されるプロパティを指定できます。

<object_type>run|fileset|file|project のいずれかです。

<object_name> および <prop_name> 値については、 『Vivado Design Suite プロパティ リファレンス ガイド』 (UG912) を参照してください。

例:
vivado_prop:run.impl_1.
{STEPS.PLACE_DESIGN.ARGS.MORE 
OPTIONS}={-fanout_opt}
vivado_prop:fileset.
current.top=foo

<object_type>file に設定される場合、current はサポートされません。

<object type>run に設定される場合、それぞれ指定する必要はなく、__KERNEL__ に値 (ALL) を使用して、すべてのカーネルの run 最適化設定を指定できます。

たとえば、コマンド ラインから次を実行します。
v++ --link --vivado.prop:run.impl_1.STEPS.PHYS_OPT_DESIGN.IS_ENABLED=true
--vivado.prop:run.impl_1.STEPS.PHYS_OPT_DESIGN.ARGS.DIRECTIVE=Explore
このオプションは、設定ファイルの [vivado] セクション ヘッドの下で次のフォーマットを使用しても指定できます。
[vivado]
prop=run.impl_1.STEPS.PHYS_OPT_DESIGN.IS_ENABLED=true
prop=run.impl_1.STEPS.PHYS_OPT_DESIGN.ARGS.DIRECTIVE=Explore
重要: Vivado プロパティの中には、MORE OPTIONS などのようにスペースを含むものがあります。こういった場合、Tcl 構文ではプロパティを { } で囲む必要があります。ただし、--vivado オプションの { } の配置は重要です。プロパティ名の一部ではなく全体を囲む必要があります。たとえば、正しい配置は次のようになります。
vivado_prop:run.impl_1.{STEPS.PLACE_DESIGN.ARGS.MORE OPTIONS}={-fanout_opt}
次の場合は、ビルド プロセス中でエラーになります。
vivado_prop:run.impl_1.STEPS.PLACE_DESIGN.ARGS.{MORE OPTIONS}={-fanout_opt}