Steps to Boot a PetaLinux Image on Hardware with SD Card - 2020.2 English

PetaLinux Tools Documentation Reference Guide (UG1144)

Document ID
UG1144
Release Date
2020-11-24
Version
2020.2 English
  1. Mount the SD card on your host machine.
  2. Copy the following files from <plnx-proj-root>/pre-built/linux/images/ into the root directory of the first partition which is in FAT32 format in the SD card:
    • BOOT.BIN
    • image.ub
    • boot.scr
  3. Connect the serial port on the board to your workstation.
  4. Open a console on the workstation and start the preferred serial communication program (For example: kermit, minicom, gtkterm) with the baud rate set to 115200 on that console.
  5. Power off the board.
  6. Set the boot mode of the board to SD boot. Refer to the board documentation for details.
  7. Plug the SD card into the board.
  8. Power on the board.
  9. You should see a boot messages similar to the following message on the serial console:
    [157.568678]Xilinx Versal Platform Loader and Manager 
    [162.358040]Release 2020.2   Oct  5 2020  -  17:50:42
    [167.060665]Platform Version: v1.0 PMC: v1.0, PS: v1.0
    [171.849815]STDOUT: PS UART
    [174.330687]****************************************
    [178.988690] 25.330431 ms for PrtnNum: 1, Size: 2432 Bytes
    [184.083306]-------Loading Prtn No: 0x2
    [188.319987] 0.694621 ms for PrtnNum: 2, Size: 48 Bytes
    [192.468715]-------Loading Prtn No: 0x3
    [204.941650] 8.928265 ms for PrtnNum: 3, Size: 55824 Bytes
    [207.282321]-------Loading Prtn No: 0x4
    [213.850540] 3.023943 ms for PrtnNum: 4, Size: 3824 Bytes
    [216.105993]-------Loading Prtn No: 0x5
    [220.516603] 0.867153 ms for PrtnNum: 5, Size: 3424 Bytes
    [224.663034]-------Loading Prtn No: 0x6
    [228.230518] 0.024746 ms for PrtnNum: 6, Size: 80 Bytes
    
    PSM Firmware version: 2020.1 [Build: Oct  5 2020 17:51:41 ] 
    
    [238.373896]+++++++Loading Image No: 0x2, Name: pl_cfi, Id: 0x18700000
    [244.506128]-------Loading Prtn No: 0x7
    [2142.171765] 1894.124196 ms for PrtnNum: 7, Size: 8457440 Bytes
    [2145.024046]-------Loading Prtn No: 0x8
    [2244.709309] 96.057925 ms for PrtnNum: 8, Size: 549376 Bytes
    [2247.354318]+++++++Loading Image No: 0x3, Name: aie_subsys, Id: 0x0421C005
    [2253.889359]-------Loading Prtn No: 0x9
    [2260.472984] 2.957287 ms for PrtnNum: 9, Size: 352 Bytes
    [2262.770540]+++++++Loading Image No: 0x4, Name: fpd, Id: 0x0420C003
    [2268.710218]-------Loading Prtn No: 0xA
    [2275.526706] 3.190478 ms for PrtnNum: 10, Size: 1136 Bytes
    [2278.156303]+++++++Loading Image No: 0x5, Name: apu_subsyste, Id: 0x1C000000
    [2284.701240]-------Loading Prtn No: 0xB
    [2295.457493] 7.130237 ms for PrtnNum: 11, Size: 43200 Bytes
    [2297.967346]-------Loading Prtn No: 0xC
    [2308.652150] 7.058490 ms for PrtnNum: 12, Size: 59360 Bytes
    [2311.161212]-------Loading Prtn No: 0xD
    [2415.847862] 101.058993 ms for PrtnNum: 13, Size: 902400 Bytes
    [2418.700859]***********Boot PDI Load: Done*************
    [2423.599493]247.131237 ms: ROM Time
    [2426.830118]Total PLM Boot Time 
    
    NOTICE:  ATF running on Xilinx Versal Silicon
    WARNING: BL31: invalid exception level (3)
    NOTICE:  BL31: Secure code at 0x0
    NOTICE:  BL31: Non secure code at 0x8000000
    NOTICE:  BL31: v2.2(debug):xilinx-v2020.1-8-g5ebaa00a9
    NOTICE:  BL31: Built : 17:40:42, Oct  5 2020
    INFO:    GICv3 with legacy support detected. ARM GICv3 driver initialized in EL3
    INFO:    BL31: Initializing runtime services
    WARNING: BL31: cortex_a72: CPU workaround for 859971 was missing!
    INFO:    BL31: cortex_a72: CPU workaround for cve_2017_5715 was applied
    INFO:    BL31: cortex_a72: CPU workaround for cve_2018_3639 was applied
    INFO:    BL31: Preparing for EL3 exit to normal world
    INFO:    Entry point address = 0x8000000
    INFO:    SPSR = 0x3c9
    
    U-Boot 2020.01 (Oct 05 2020 - 17:49:47 +0000)
    
    Model: Xilinx Versal vck190 Eval board revA (QSPI)
    DRAM:  6 GiB
    EL Level:	EL2
    MMC:   sdhci@f1050000: 0
    In:    serial@ff000000
    Out:   serial@ff000000
    Err:   serial@ff000000
    Bootmode: LVL_SHFT_SD_MODE1
    Net:   
    ZYNQ GEM: ff0c0000, mdio bus ff0c0000, phyaddr 1, interface rgmii-id
    
    Warning: ethernet@ff0c0000 (eth0) using random MAC address - fe:2b:c0:a6:0e:57
    eth0: ethernet@ff0c0000
    ZYNQ GEM: ff0d0000, mdio bus ff0c0000, phyaddr 2, interface rgmii-id
    
    Warning: ethernet@ff0d0000 (eth1) using random MAC address - 0e:85:65:73:a9:5f, eth1: ethernet@ff0d0000
    
    Hit any key to stop autoboot:  5  4  3  2  1  0 
    
    switch to partitions #0, OK
    mmc0 is current device
    Scanning mmc 0:1...
    
    Found U-Boot script /boot.scr
    2007 bytes read in 15 ms (129.9 KiB/s)
    
    ## Executing script at 20000000
    
    45859872 bytes read in 3938 ms (11.1 MiB/s)
    
    ## Loading kernel from FIT Image at 10000000 ...
    
       Using 'conf@system-top.dtb' configuration
       Trying 'kernel@1' kernel subimage
         Description:  Linux kernel
         Type:         Kernel Image
         Compression:  gzip compressed
         Data Start:   0x100000f4
         Data Size:    8341324 Bytes = 8 MiB
         Architecture: AArch64
         OS:           Linux
         Load Address: 0x00080000
         Entry Point:  0x00080000
         Hash algo:    sha256
         Hash value:   41ceb2162d6aea5e6ca897fbd997aee6fccea605dd42aabd5c0d51448d99fe20
       Verifying Hash Integrity ... sha256+ OK
    
    ## Loading ramdisk from FIT Image at 10000000 ...
    
       Using 'conf@system-top.dtb' configuration
       Trying 'ramdisk@1' ramdisk subimage
         Description:  petalinux-image-minimal
         Type:         RAMDisk Image
         Compression:  uncompressed
         Data Start:   0x107ff2d8
         Data Size:    37473230 Bytes = 35.7 MiB
         Architecture: AArch64
         OS:           Linux
         Load Address: unavailable
         Entry Point:  unavailable
         Hash algo:    sha256
         Hash value:   8eee7ac0e98b525868a482781387fc7865d500112e380b624265cf23a10eb658
       Verifying Hash Integrity ... sha256+ OK
    
    ## Loading fdt from FIT Image at 10000000 ...
    
       Using 'conf@system-top.dtb' configuration
       Trying 'fdt@system-top.dtb' fdt subimage
         Description:  Flattened Device Tree blob
         Type:         Flat Device Tree
         Compression:  uncompressed
         Data Start:   0x107f4950
         Data Size:    43189 Bytes = 42.2 KiB
         Architecture: AArch64
         Hash algo:    sha256
         Hash value:   5216b8a2bb1e3be94f81327115f0f83afa09a1f3a6d2b4a0ed202b86369cfb3a
       Verifying Hash Integrity ... sha256+ OK
       Booting using the fdt blob at 0x107f4950
       Uncompressing Kernel Image
       Loading Ramdisk to 76c43000, end 78fffbce ... OK
       Loading Device Tree to 000000000fff2000, end 000000000ffff8b4 ... OK
    
    Starting kernel ...
    
    [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd083]
    [    0.000000] Linux version 5.4.0-xilinx-v2020.1 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Mon Oct 5 17:44:31 UTC 2020
    [    0.000000] Machine model: Xilinx Versal vck190 Eval board revA (QSPI)
    [    0.000000] earlycon: pl11 at MMIO32 0x00000000ff000000 (options '115200n8')
    [    0.000000] printk: bootconsole [pl11] enabled
    [    0.000000] efi: Getting EFI parameters from FDT:
    [    0.000000] efi: UEFI not found.
    [    0.000000] cma: Reserved 256 MiB at 0x0000000066c00000
    [    0.000000] psci: probing for conduit method from DT.
    [    0.000000] psci: PSCIv1.1 detected in firmware.
    [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [    0.000000] psci: MIGRATE_INFO_TYPE not supported.
    [    0.000000] psci: SMC Calling Convention v1.1
    [    0.000000] percpu: Embedded 22 pages/cpu s49880 r8192 d32040 u90112
    [    0.000000] Detected PIPT I-cache on CPU0
    [    0.000000] CPU features: detected: GIC system register CPU interface
    [    0.000000] CPU features: detected: EL2 vector hardening
    [    0.000000] Speculative Store Bypass Disable mitigation not required
    [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1548288
    [    0.000000] Kernel command line: console=ttyAMA0  earlycon=pl011,mmio32,0xFF000000,115200n8 clk_ignore_unused root=/dev/ram0 rw
    [    0.000000] Dentry cache hash table entries: 1048576 (order: 11, 8388608 bytes, linear)
    [    0.000000] Inode-cache hash table entries: 524288 (order: 10, 4194304 bytes, linear)
    [    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
    [    0.000000] software IO TLB: mapped [mem 0x7c000000-0x80000000] (64MB)
    [    0.000000] Memory: 5785760K/6291456K available (11964K kernel code, 718K rwdata, 3676K rodata, 768K init, 559K bss, 243552K reserved, 262144K cma-reserved)
    [    0.000000] rcu: Hierarchical RCU implementation.
    [    0.000000] rcu: 	RCU event tracing is enabled.
    [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
    [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [    0.000000] GICv3: 160 SPIs implemented
    [    0.000000] GICv3: 0 Extended SPIs implemented
    [    0.000000] GICv3: Distributor has no Range Selector support
    [    0.000000] GICv3: 16 PPIs implemented
    [    0.000000] GICv3: no VLPI support, no direct LPI support
    [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x00000000f9080000
    [    0.000000] ITS [mem 0xf9020000-0xf903ffff]
    [    0.000000] ITS@0x00000000f9020000: allocated 65536 Devices @8f8080000 (flat, esz 8, psz 64K, shr 0)
    [    0.000000] ITS: using cache flushing for cmd queue
    [    0.000000] GICv3: using LPI property table @0x00000008f8030000
    [    0.000000] GIC: using cache flushing for LPI property table
    [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000008f8040000
    [    0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0
    [    0.000000] arch_timer: cp15 timer(s) running at 100.00MHz (phys).
    [    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 440795205315 ns
    [    0.000003] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
    [    0.008437] Console: colour dummy device 80x25
    [    0.012942] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=400000)
    [    0.023500] pid_max: default: 32768 minimum: 301
    [    0.028305] Mount-cache hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [    0.035994] Mountpoint-cache hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [    0.044921] ASID allocator initialised with 32768 entries
    [    0.050441] rcu: Hierarchical SRCU implementation.
    [    0.055399] Platform MSI: gic-its@f9020000 domain created
    [    0.060933] PCI/MSI: /amba_apu/interrupt-controller@f9000000/gic-its@f9020000 domain created
    [    0.069535] EFI services will not be available.
    [    0.074202] smp: Bringing up secondary CPUs ...
    [    0.182465] Detected PIPT I-cache on CPU1
    [    0.182487] GICv3: CPU1: found redistributor 1 region 0:0x00000000f90a0000
    [    0.182494] GICv3: CPU1: using allocated LPI pending table @0x00000008f8050000
    [    0.182514] CPU1: Booted secondary processor 0x0000000001 [0x410fd083]
    [    0.182571] smp: Brought up 1 node, 2 CPUs
    [    0.211608] SMP: Total of 2 processors activated.
    [    0.216363] CPU features: detected: 32-bit EL0 Support
    [    0.221557] CPU features: detected: CRC32 instructions
    [    0.226779] CPU: All CPU(s) started at EL2
    [    0.230929] alternatives: patching kernel code
    [    0.236127] devtmpfs: initialized
    [    0.243282] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [    0.253155] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [    0.263190] xor: measuring software checksum speed
    [    0.308075]    8regs     :  3971.000 MB/sec
    [    0.352330]    32regs    :  4566.000 MB/sec
    [    0.396582]    arm64_neon:  4135.000 MB/sec
    [    0.400809] xor: using function: 32regs (4566.000 MB/sec)
    [    0.406295] pinctrl core: initialized pinctrl subsystem
    [    0.411873] DMI not present or invalid.
    [    0.415958] NET: Registered protocol family 16
    [    0.421217] DMA: preallocated 256 KiB pool for atomic allocations
    [    0.427399] audit: initializing netlink subsys (disabled)
    [    0.432956] audit: type=2000 audit(0.364:1): state=initialized audit_enabled=0 res=1
    [    0.440806] cpuidle: using governor menu
    [    0.444867] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [    0.451837] Serial: AMBA PL011 UART driver
    [    0.468441] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
    [    0.475227] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
    [    0.482009] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
    [    0.488785] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
    [    1.223482] cryptd: max_cpu_qlen set to 1000
    [    1.247006] DRBG: Continuing without Jitter RNG
    [    1.325410] raid6: neonx8   gen()  2253 MB/s
    [    1.397434] raid6: neonx8   xor()  2045 MB/s
    [    1.469477] raid6: neonx4   gen()  1843 MB/s
    [    1.541540] raid6: neonx4   xor()  1759 MB/s
    [    1.613582] raid6: neonx2   gen()  1625 MB/s
    [    1.685626] raid6: neonx2   xor()  1794 MB/s
    [    1.757670] raid6: neonx1   gen()  1265 MB/s
    [    1.829711] raid6: neonx1   xor()  1364 MB/s
    [    1.901768] raid6: int64x8  gen()  1041 MB/s
    [    1.973825] raid6: int64x8  xor()   887 MB/s
    [    2.045880] raid6: int64x4  gen()  1104 MB/s
    [    2.117906] raid6: int64x4  xor()   908 MB/s
    [    2.189971] raid6: int64x2  gen()   821 MB/s
    [    2.262022] raid6: int64x2  xor()   812 MB/s
    [    2.334048] raid6: int64x1  gen()   597 MB/s
    [    2.406078] raid6: int64x1  xor()   556 MB/s
    [    2.410392] raid6: using algorithm neonx8 gen() 2253 MB/s
    [    2.415848] raid6: .... xor() 2045 MB/s, rmw enabled
    [    2.420865] raid6: using neon recovery algorithm
    [    2.425819] iommu: Default domain type: Translated 
    [    2.430945] SCSI subsystem initialized
    [    2.434855] usbcore: registered new interface driver usbfs
    [    2.440424] usbcore: registered new interface driver hub
    [    2.445813] usbcore: registered new device driver usb
    [    2.450944] mc: Linux media interface: v0.10
    [    2.455271] videodev: Linux video capture interface: v2.00
    [    2.460829] pps_core: LinuxPPS API ver. 1 registered
    [    2.465847] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [    2.475088] PTP clock support registered
    [    2.479059] EDAC MC: Ver: 3.0.0
    [    2.482620] zynqmp-ipi-mbox mailbox@ff3f0440: Registered ZynqMP IPI mbox with TX/RX channels.
    [    2.491419] FPGA manager framework
    [    2.494968] Advanced Linux Sound Architecture Driver Initialized.
    [    2.501358] Bluetooth: Core ver 2.22
    [    2.504984] NET: Registered protocol family 31
    [    2.509475] Bluetooth: HCI device and connection manager initialized
    [    2.515901] Bluetooth: HCI socket layer initialized
    [    2.520831] Bluetooth: L2CAP socket layer initialized
    [    2.525941] Bluetooth: SCO socket layer initialized
    [    2.531119] clocksource: Switched to clocksource arch_sys_counter
    [    2.537373] VFS: Disk quotas dquot_6.6.0
    [    2.541377] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [    2.551785] NET: Registered protocol family 2
    [    2.556519] tcp_listen_portaddr_hash hash table entries: 4096 (order: 4, 65536 bytes, linear)
    [    2.565234] TCP established hash table entries: 65536 (order: 7, 524288 bytes, linear)
    [    2.573644] TCP bind hash table entries: 65536 (order: 8, 1048576 bytes, linear)
    [    2.581916] TCP: Hash tables configured (established 65536 bind 65536)
    [    2.588607] UDP hash table entries: 4096 (order: 5, 131072 bytes, linear)
    [    2.595629] UDP-Lite hash table entries: 4096 (order: 5, 131072 bytes, linear)
    [    2.603199] NET: Registered protocol family 1
    [    2.607861] RPC: Registered named UNIX socket transport module.
    [    2.613857] RPC: Registered udp transport module.
    [    2.618616] RPC: Registered tcp transport module.
    [    2.623372] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [    2.630112] PCI: CLS 0 bytes, default 64
    [    2.634164] Trying to unpack rootfs image as initramfs...
    [    4.469308] Freeing initrd memory: 36592K
    [    4.614528] Initialise system trusted keyrings
    [    4.619187] workingset: timestamp_bits=46 max_order=21 bucket_order=0
    [    4.626423] NFS: Registering the id_resolver key type
    [    4.631545] Key type id_resolver registered
    [    4.635819] Key type id_legacy registered
    [    4.639877] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [    4.646668] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
    [    4.669480] NET: Registered protocol family 38
    [    4.673987] Key type asymmetric registered
    [    4.678126] Asymmetric key parser 'x509' registered
    [    4.683086] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 246)
    [    4.690566] io scheduler mq-deadline registered
    [    4.695145] io scheduler kyber registered
    [    4.700531] xilinx-vdma a6800000.dma: unable to request IRQ 0
    [    4.706358] xilinx-vdma a6800000.dma: unable to request IRQ 0
    [    4.712176] xilinx-vdma a6800000.dma: dma_async_device_register: device has no channels!
    [    4.720362] xilinx-vdma a6800000.dma: Xilinx AXI DMA Engine Driver Probed!!
    [    4.727482] xilinx-vdma a6840000.dma: unable to request IRQ 0
    [    4.733303] xilinx-vdma a6840000.dma: unable to request IRQ 0
    [    4.739115] xilinx-vdma a6840000.dma: dma_async_device_register: device has no channels!
    [    4.747299] xilinx-vdma a6840000.dma: Xilinx AXI DMA Engine Driver Probed!!
    [    4.754908] xilinx-vdma a4000000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.763199] xilinx-vdma a4010000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.771477] xilinx-vdma a4020000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.779748] xilinx-vdma a4030000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.788021] xilinx-vdma a4040000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.796291] xilinx-vdma a4050000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.804570] xilinx-vdma a4060000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.812845] xilinx-vdma a4070000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.821117] xilinx-vdma a4080000.axi_mcdma: Xilinx AXI MCDMA Engine Driver Probed!!
    [    4.829144] ps_pcie_dma init()
    [    4.853039] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
    [    4.860349] Serial: AMBA driver
    [    4.865215] cacheinfo: Unable to detect cache hierarchy for CPU 0
    [    4.875101] brd: module loaded
    [    4.881958] loop: module loaded
    [    4.885878] mtdoops: mtd device (mtddev=name/number) must be supplied
    [    4.893456] libphy: Fixed MDIO Bus: probed
    [    4.898492] tun: Universal TUN/TAP device driver, 1.6
    [    4.903687] CAN device driver interface
    [    4.908283] usbcore: registered new interface driver asix
    [    4.913773] usbcore: registered new interface driver ax88179_178a
    [    4.919951] usbcore: registered new interface driver cdc_ether
    [    4.925866] usbcore: registered new interface driver net1080
    [    4.931603] usbcore: registered new interface driver cdc_subset
    [    4.937604] usbcore: registered new interface driver zaurus
    [    4.943267] usbcore: registered new interface driver cdc_ncm
    [    4.949638] usbcore: registered new interface driver uas
    [    4.955034] usbcore: registered new interface driver usb-storage
    [    4.961298] i2c /dev entries driver
    [    4.966346] usbcore: registered new interface driver uvcvideo
    [    4.972157] USB Video Class driver (1.1.1)
    [    4.976659] Bluetooth: HCI UART driver ver 2.3
    [    4.981159] Bluetooth: HCI UART protocol H4 registered
    [    4.986356] Bluetooth: HCI UART protocol BCSP registered
    [    4.991746] Bluetooth: HCI UART protocol LL registered
    [    4.996942] Bluetooth: HCI UART protocol ATH3K registered
    [    5.002411] Bluetooth: HCI UART protocol Three-wire (H5) registered
    [    5.008786] Bluetooth: HCI UART protocol Intel registered
    [    5.014256] Bluetooth: HCI UART protocol QCA registered
    [    5.019563] usbcore: registered new interface driver bcm203x
    [    5.025305] usbcore: registered new interface driver bpa10x
    [    5.030961] usbcore: registered new interface driver bfusb
    [    5.036527] usbcore: registered new interface driver btusb
    [    5.042108] usbcore: registered new interface driver ath3k
    [    5.048222] sdhci: Secure Digital Host Controller Interface driver
    [    5.054474] sdhci: Copyright(c) Pierre Ossman
    [    5.058876] sdhci-pltfm: SDHCI platform and OF driver helper
    [    5.064847] ledtrig-cpu: registered to indicate activity on CPUs
    [    5.071055] zynqmp_firmware_probe Platform Management API v1.0
    [    5.076964] zynqmp_firmware_probe Trustzone version v1.0
    [    5.098740] zynqmp_pll_get_mode() PLL get frac mode failed for pmc_pll, ret = -22
    [    5.138359] usbcore: registered new interface driver usbhid
    [    5.144008] usbhid: USB HID core driver
    [    5.148538] sysmon f1270000.sysmon: Successfully registered Versal Sysmon
    [    5.155890] ARM CCI_500 PMU driver probed
    [    5.156239] fpga_manager fpga0: Xilinx Versal FPGA Manager registered
    [    5.167368] pktgen: Packet Generator for packet performance testing. Version: 2.75
    [    5.175688] Initializing XFRM netlink socket
    [    5.180075] NET: Registered protocol family 10
    [    5.184933] Segment Routing with IPv6
    [    5.188749] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
    [    5.195036] NET: Registered protocol family 17
    [    5.199543] NET: Registered protocol family 15
    [    5.204048] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
    [    5.217154] can: controller area network core (rev 20170425 abi 9)
    [    5.223427] NET: Registered protocol family 29
    [    5.227919] can: raw protocol (rev 20170425)
    [    5.232236] can: broadcast manager protocol (rev 20170425 t)
    [    5.237959] can: netlink gateway (rev 20190810) max_hops=1
    [    5.243585] Bluetooth: RFCOMM TTY layer initialized
    [    5.248525] Bluetooth: RFCOMM socket layer initialized
    [    5.253734] Bluetooth: RFCOMM ver 1.11
    [    5.257527] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
    [    5.262898] Bluetooth: BNEP filters: protocol multicast
    [    5.268183] Bluetooth: BNEP socket layer initialized
    [    5.273201] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
    [    5.279192] Bluetooth: HIDP socket layer initialized
    [    5.284344] 9pnet: Installing 9P2000 support
    [    5.288674] Key type dns_resolver registered
    [    5.293207] registered taskstats version 1
    [    5.297354] Loading compiled-in X.509 certificates
    [    5.303259] Btrfs loaded, crc32c=crc32c-generic
    [    5.315437] ff000000.serial: ttyAMA0 at MMIO 0xff000000 (irq = 25, base_baud = 0) is a SBSA
    [    5.323910] printk: console [ttyAMA0] enabled
    [    5.323910] printk: console [ttyAMA0] enabled
    [    5.332660] printk: bootconsole [pl11] disabled
    [    5.332660] printk: bootconsole [pl11] disabled
    [    5.342257] xilinx-ai-engine aiepart_0_50: fpga bridge [xlnx-aie-bridge-0-0] registered
    [    5.350266] aie aie0: AI engine part(0,0),(50,9), id 1 is probed successfully.
    [    5.357486] xilinx-ai-engine 20000000000.ai_engine: Xilinx AI Engine device(cols=50) probed
    [    5.365964] of-fpga-region fpga: FPGA Region probed
    [    5.371350] gpio gpiochip1: (pmc_gpio): detected irqchip that is shared with multiple gpiochips: please fix the driver.
    [    5.382551] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
    [    5.389715] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
    [    5.396886] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
    [    5.404044] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
    [    5.411219] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
    [    5.418375] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
    [    5.425538] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
    [    5.432698] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
    [    5.440218] spi-nor spi0.0: unrecognized JEDEC id bytes: 10 5d 90 88 22 00
    [    5.447901] macb ff0c0000.ethernet: Not enabling partial store and forward
    [    5.455242] libphy: MACB_mii_bus: probed
    [    5.463837] TI DP83867 ff0c0000.ethernet-ffffffff:01: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0c0000.ethernet-ffffffff:01, irq=POLL)
    [    5.476881] macb ff0c0000.ethernet eth0: Cadence GEM rev 0x0107010b at 0xff0c0000 irq 16 (fe:2b:c0:a6:0e:57)
    [    5.487023] macb ff0d0000.ethernet: Not enabling partial store and forward
    [    5.494392] libphy: MACB_mii_bus: probed
    [    5.499580] TI DP83867 ff0c0000.ethernet-ffffffff:02: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0c0000.ethernet-ffffffff:02, irq=POLL)
    [    5.512620] macb ff0d0000.ethernet eth1: Cadence GEM rev 0x0107010b at 0xff0d0000 irq 17 (0e:85:65:73:a9:5f)
    [    5.522648] dwc3-of-simple ff9d0000.usb: dwc3_simple_set_phydata: Can't find usb3-phy
    [    5.530878] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2
    [    5.536693] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [    5.542184] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
    [    5.549940] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238fe65 hci version 0x110 quirks 0x0000000000010810
    [    5.559357] xhci-hcd xhci-hcd.0.auto: irq 54, io mem 0xfe200000
    [    5.565625] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04
    [    5.573892] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
    [    5.581110] usb usb1: Product: xHCI Host Controller
    [    5.585982] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
    [    5.592590] usb usb1: SerialNumber: xhci-hcd.0.auto
    [    5.597721] hub 1-0:1.0: USB hub found
    [    5.601487] hub 1-0:1.0: 1 port detected
    [    5.605568] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [    5.611057] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
    [    5.618718] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed
    [    5.625436] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04
    [    5.633702] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
    [    5.640921] usb usb2: Product: xHCI Host Controller
    [    5.645794] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
    [    5.652407] usb usb2: SerialNumber: xhci-hcd.0.auto
    [    5.657628] hub 2-0:1.0: USB hub found
    [    5.661394] hub 2-0:1.0: config failed, hub doesn't have any ports! (err -19)
    [    5.669147] rtc_zynqmp f12a0000.rtc: registered as rtc0
    [    5.674769] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 20
    [    5.681106] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 21
    [    5.687262] Xilinx Watchdog fd4d0000.watchdog: IRQ wdt not found
    [    5.693269] watchdog: fd4d0000.watchdog: DT supplied timeout (60) out of range
    [    5.700483] watchdog: fd4d0000.watchdog: falling back to default timeout (10)
    [    5.707615] Xilinx Watchdog fd4d0000.watchdog: unable to set timeout value
    [    5.714699] Xilinx Watchdog fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 10s
    [    5.722887] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 999999 KHz
    [    5.730255] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1199999 KHz
    [    5.770543] mmc0: SDHCI controller on f1050000.sdhci [f1050000.sdhci] using ADMA 64-bit
    [    5.780916] rtc_zynqmp f12a0000.rtc: setting system clock to 2020-10-05T17:55:42 UTC (1601920542)
    [    5.789792] of_cfs_init
    [    5.792248] of_cfs_init: OK
    [    5.795162] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [    5.838070] mmc0: new high speed SDHC card at address aaaa
    [    5.843960] mmcblk0: mmc0:aaaa SB16G 14.8 GiB 
    [    5.852820]  mmcblk0: p1
    [    5.896678] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [    5.903214] clk: Not disabling unused clocks
    [    5.908041] ALSA device list:
    [    5.910999]   No soundcards found.
    [    5.914780] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [    5.923392] cfg80211: failed to load regulatory.db
    [    5.928460] Freeing unused kernel memory: 768K
    [    5.947232] Run /init as init process
    
    INIT: version 2.88 booting
    
    Starting udev
    
    [    6.039021] udevd[337]: starting version 3.2.8
    [    6.043864] random: udevd: uninitialized urandom read (16 bytes read)
    [    6.050349] random: udevd: uninitialized urandom read (16 bytes read)
    [    6.056840] random: udevd: uninitialized urandom read (16 bytes read)
    [    6.067756] udevd[338]: starting eudev-3.2.8
    [    6.116005] zocl: loading out-of-tree module taints kernel.
    [    6.148728] [drm] Probing for xlnx,zocl-versal
    [    6.153213] zocl-drm amba:zyxclmm_drm: IRQ index 0 not found
    [    6.158954] [drm] FPGA programming device versal_fpga founded.
    [    6.164791] [drm] PR Isolation addr 0x0
    [    6.181289] [drm] Initialized zocl 2018.2.1 20180313 for amba:zyxclmm_drm on minor 0
    [    6.255164] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [    6.416453] usb 1-1: New USB device found, idVendor=0781, idProduct=5581, bcdDevice= 1.00
    [    6.424673] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
    [    6.431808] usb 1-1: Product: Ultra
    [    6.435298] usb 1-1: Manufacturer: SanDisk
    [    6.439393] usb 1-1: SerialNumber: 4C530000121105102053
    [    6.482089] usb-storage 1-1:1.0: USB Mass Storage device detected
    [    6.493648] scsi host0: usb-storage 1-1:1.0
    
    Configuring packages on first boot....
    
    
     (This may take several minutes. Please do not power off the mac[    6.852543] Unloading old XRT Linux kernel modules hine.)
    
    Running postinst /etc/rpm-postinsts/100-zocl...
    
    [    6.876130] Loading new XRT Linux kernel modules
    [    6.885515] [drm] Probing for xlnx,zocl-versal
    [    6.890011] zocl-drm amba:zyxclmm_drm: IRQ index 0 not found
    [    6.895726] [drm] FPGA programming device versal_fpga founded.
    [    6.901554] [drm] PR Isolation addr 0x0
    [    6.901840] [drm] Initialized zocl 2018.2.1 20180313 for amba:zyxclmm_drm on minor 0
    
    Running postinst /etc/rpm-postinsts/101-sysvinit-inittab...
    
    Running postinst /etc/rpm-postinsts/102-xrt...
    
    [    6.948117] INFO: Creating ICD entry for Xilinx Platform
    
    update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing)
    
     Removing any 
    INIT: Entering runlevel: 5
    
    Configuring network interfaces... [    7.017867] pps pps0: new PPS source ptp0
    
    [    7.021923] macb ff0c0000.ethernet: gem-ptp-timer ptp clock registered.
    
    udhcpc: started, v1.31.0
    udhcpc: sending discover
    
    [    7.499776] scsi 0:0:0:0: Direct-Access     SanDisk  Ultra            1.00 PQ: 0 ANSI: 6
    [    7.509456] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [    7.517806] sd 0:0:0:0: [sda] Write Protect is off
    [    7.522852] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [    7.561410]  sda: sda1
    [    7.571187] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [    7.606013] random: fast init done
    [    7.744777] cramfs: Unknown parameter 'umask'
    [    7.755571] FAT-fs (sda1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
    [    9.067323] macb ff0c0000.ethernet eth0: link up (1000/Full)
    [    9.073000] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
    
    udhcpc: sending discover
    udhcpc: sending select for 10.10.70.2
    udhcpc: lease of 10.10.70.2 obtained, lease time 600
    
    done.
    Starting haveged: haveged: listening socket at 3
    
    
    haveged: haveged starting up
    
    Starting Dropbear SSH server: Generating 2048 bit rsa key, this may take a while...
    
    Public key portion is:
    
    ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQD3oCX1B8v1nV6LSqiHuoMutFRNRqZ2IUd8djm4n86QLh5HRzbtI5rrXT39IVSxsLz/SoFXywITxFxa0ZvU4DCrIeLvWwyjUEJZiFXSVaBZkaUrcenGGe6o/LHs+n+tl3Hh7ac+Z7E74a9A9umg79Myoqa7up90Lin7pcSF140dPDXv6l5VQnQ9eGUq4ApPABRonUuIc3lyVsv3F4gcVDzRGM3Rk7L68wrC5rHfLcd2ddyyKGg2bBJ2JhbRbK6pm5VerlCM6iR/2Ahz0O0aPKqaioqLAcr7rQexu0K4QRYhKypFdGdPONr+0qrT+enMB19GhSqDrg01KtJ860nuAYwL root@xilinx-vck190-es1-2020_2
    
    Fingerprint: sha1!! f7:a7:51:07:e1:1a:3d:88:2b:00:79:e9:61:04:80:76:10:f0:bb:ae
    
    dropbear.
    
    Starting internet superserver: inetd.
    
    Starting syslogd/klogd: done
    
    Starting tcf-agent: [   11.956061] random: crng init done
    
    [   11.959468] random: 7 urandom warning(s) missed due to ratelimiting
    
    OK
    
    PetaLinux 2020.2 xilinx-vck190-es1-2020_2 /dev/ttyAMA0
    
    xilinx-vck190-es1-2020_2 login: root
    Password: 
    root@xilinx-vck190-es1-2020_2:~# 
    Tip: If you wish to stop auto-boot, hit any key when you see the messages similar to the following on the console: Hit any key to stop autoboot:
  10. Type user name root and password root on the serial console to log into the PetaLinux system.