Deprecated and Unsupported Tcl Command Options - 2020.2 English

Vitis High-Level Synthesis User Guide (UG1399)

Document ID
UG1399
Release Date
2021-03-22
Version
2020.2 English

Vitis™ HLS has deprecated a number of Vivado® HLS commands. These deprecated commands will be discontinued in a future release, and are not recommended for use; these are listed in the table below.

Table 1. Vivado HLS Commands Deprecated in Vitis HLS
Type Command Option Vitis HLS Details
config config_interface -m_axi_max_data_size Deprecated  
config config_interface -m_axi_min_data_size Deprecated  
config config_interface --m_axi_alignment_size Deprecated  
config config_interface -expose_global Unsupported  
config config_interface -trim_dangling_port Unsupported  
config config_array_partition -scalarize_all Unsupported  
config config_array_partition -throughput_driven Unsupported  
config config_array_partition -maximum_size Unsupported  
config config_array_partition -include_extern_globals Unsupported  
config config_array_partition -include_ports Unsupported  
config config_schedule All options but -enable_dsp_fill_reg Deprecated  
config config_bind * (all options) Deprecated  
config config_rtl -encoding Deprecated  
config config_sdx * (all options) Deprecated  
config config_flow * (all options) Deprecated  
config config_dataflow -disable_start_propagation Deprecated  
config config_rtl -auto_prefix Deprecated Replaced by config_rtl -module_prefix.
config config_rtl -prefix Deprecated Replaced by config_rtl -module_prefix.
config config_rtl -m_axi_conservative_mode Deprecated Use config_interface -m_axi_conservative_mode
directive/pragma set_directive_pipeline -enable_flush Deprecated  
directive/pragma CLOCK * Unsupported  
directive/pragma DATA_PACK * Unsupported Use AGGREGATE pragma or directive, and __attribute__(packed(X)) if needed.
directive/pragma INLINE -region Deprecated  
directive/pragma INTERFACE -mode ap_bus Unsupported Use m_axi instead.
directive/pragma ARRAY_MAP * Unsupported  
directive/pragma RESOURCE * Deprecated Replaced by BIND_OP and BIND_STORAGE pragmas and directives. Use INTERFACE pragma or directive with storage_type option for function arguments.
directive/pragma STREAM -dim Unsupported  
project csim_design -clang_sanitizer Add/Rename  
project export_design -use_netlist Deprecated Replaced by: export_design -format ip_catalog
project export_design -xo Deprecated Replaced by: export_design -format xo
project add_files   Unsupported System-C files are not supported by Vitis HLS.
  1. Deprecated: A warning message for discontinuity of the pragma in a future release will be issued.
  2. Unsupported: Vitis HLS errors out with a valid message.
  3. *: All the options in the command.