create_rqs_run - 2020.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2020-11-18
Version
2020.2 English

(User-written application) Creates and launches a new run based on the suggestions by report_qor_suggestions. This proc looks for 5 files in the directory specified by the user. 1.RQSPreSynth_<newProjName>.xdc 2.RQSImplCommon_<newProjName>.xdc 3.RQSPreImpl_<newProjName>.xdc 4.RQSPreImpl_<newProjName>.tcl 5.RQSImplCommon_<newProjName>.tcl. There are 2 flows. One is creating both synth and impl runs and the other is creating only impl run making user specified synth run as the parent for the newly created impl run. In the first flow, we create a new synth run based on the current impl run's parent run (i,e current synth run). We create a new constraint fileset and add the current synth run's constraint fileset's files to that. And we add RQSPreSynth_<>.xdc file to newly created constraint set. We create a impl run based on the current impl run. We create a impl run constraint (if it is not same as the one that has already been created), and add the current impl run's constraint fileset's files to that. We also add RQSImplCommon_<>.xdc to new impl constraint fileset. We set STEPS.OPT_DESIGN.TCL.PRE property of newly created impl run to RQSImplCommon_<>.tcl file. In this flow, we ignore RQSPreImpl_<>.xdc/tcl files. In the second flow, user specified synth run is used as parent for the newly created impl run. So there is no synth run creation. We create impl run based on current impl run and user specified synth run. We create a new impl constraint fileset and add fileset to that form the current impl run's constraint fileset. We also add RQSImplCommon_<>.xdc , RQSPreImpl_<>.xdc files. If RQSPreImpl_<>.tcl file is available, it is set as STEPS.OPT_DESIGN.TCL.PRE property for new impl run otherwise RQSImplCommon_<>.tcl is set. In both the flows adding or setting files is subject to availability of those files in the output directory.

Syntax

create_rqs_run ‑dir <arg> ‑new_name <arg> [‑synth_name <arg>]
    [‑opt_more_options <arg>] [‑place_more_options <arg>] [‑quiet]
    [‑verbose]

Returns

None

Usage

Name Description
-dir Specify the directory from where the xdc files and tcl files need to fetched.
-new_name Specify the name of the new run
[-synth_name] Specify the name of the already existing synth run. This run will be the parent run for the newly created impl run Default: None
[-opt_more_options] optional argument. Specify the value for opt_design step's more option property which will be set on newly created run. Default: None
[-place_more_options] Specify the value for place_design step's more option property which will be set on newly created run. Default: None
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Description

Creates and launches a new implementation run based on the suggestions provided by report_qor_suggestions.

Arguments

-dir <arg> - (Required) Directory from where the required files would be fetched.

-new_name <arg> - (Required) Specify the new run name.

-synth_name <arg> - (Optional) Specify an existing synthesis run. This run will be set as the parent run for the newly created implementation run.
Tip: This option must be specified if there are multiple existing synthesis runs.

-opt_more_options <arg> - (Optional) Specify additional command line options for the opt_design command. This adds options to opt_design through the MORE_OPTIONS property of the created implementation run.

-place_more_options <arg> - (Optional) Specify additional command line options for the place_design command. This adds options to place_design through the MORE_OPTIONS property of the created implementation run.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example will create and launch a new run, exp_1, using the constraints files from the specified directory:
create_rqs_run -dir path_to_dir -new_name exp_1 -synth_name synth_1 \  
-opt_more_options optVal -place_more_options placeVal
Tip: The constraints were previously created using the -output_dir option of the report_qor_suggestions command.