get_param - 2020.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2020-11-18
Version
2020.2 English

Get a parameter value

Syntax

get_param [‑quiet] [‑verbose] <name>

Returns

parameter value

Usage

Name Description
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
<name> Parameter name

Description

This command gets the currently defined value for a specified tool parameter. These parameters are user-definable configuration settings that control various behaviors within the tool. Refer to report_param for a description of what each parameter configures or controls.

Arguments

<name> - (Required) The name of the parameter to get the value of. The list of user-definable parameters can be obtained with list_param. This command requires the full name of the desired parameter. It does not perform any pattern matching, and accepts only one parameter at a time.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example returns the current value of the MaxThreads parameter used for multi-threaded processes:
get_param general.MaxThreads