help - 2020.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2020-11-18
Version
2020.2 English

Display help for one or more topics

Syntax

help [‑category <arg>] [‑args] [‑syntax] [‑long] [‑prop <arg>]
    [‑class <arg>] [‑message <arg>] [‑quiet] [‑verbose]
    [<pattern_or_object>]

Usage

Name Description
[-category] Search for topics in the specified category
[-args] Display arguments description
[-syntax] Display syntax description
[-long] Display long help description
[-prop] Display property help for matching property names Default: *
[-class] Display object type help
[-message] Display information about the message with the given message. Every message delivered by the tool has a unique global message ID that consists of an application sub-system code and a message identifier. Example: -message {Common 17-8}.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<pattern_or_object>] Display help for topics that match the specified pattern Default: *

Categories

Project

Description

Returns a long description of the specified Tcl command; or a list of available Xilinx Tcl command categories; or a list of commands matching a specific pattern.

The default help command without any arguments returns a list of Tcl command categories that can be further explored. Command categories are groups of commands performing a specific function, like File I/O commands for instance.

Available options for the help command can return just the command syntax for a quick reminder of how the command should be structured; the command syntax and a brief description of each argument; or the long form of the command with more detailed descriptions and examples of the command.

To limit the memory usage of the Vivado Design Suite, some features of the tool are only loaded into memory when that feature set is used. To access the complete list of Tcl commands and help text associated with a given feature, you must load the feature into memory using the load_features command.

The help command can also return any available information related to various properties assignable to design objects. Use the -prop and -class options to return help information for properties.

This command returns the specified help text, or an error.

Arguments

-category <arg> - (Optional) Get a list of the commands grouped under the specified command category.

-args - (Optional) Get abbreviated help text for the specified command. The default is to return the extended help for the specified command. Use this argument to keep it brief.

-syntax - (Optional) Returns only the syntax line for the command as a quick reminder of the proper form for using the command.

-long - (Optional) Returns the extended help description for the command, including the syntax, a brief description of the arguments, and a more detailed description of the command with examples. This is the default setting for the help command.

-prop <arg> - (Optional) Return the specified property of an object class, or the properties assigned to a specific object in the current design.
Note: This option requires the use of -class, or the specification of a single design object.

-class <arg> - (Optional) Return information related to the specified class of objects.

-message <arg> - (Optional) Return information related to the specified message. Messages are specified in the form of a unique global message ID, that consists of an application sub-system code and a message identifier: "Common 17-24", or {Common 17-24}. Refer to the set_msg_config command for more information.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.
<pattern_or_object> - (Optional) Returns information related to the specified command, or a list of commands that match the specified pattern.
Note: A Vivado first class object, like a cell or site, must be specified when used with -class and -prop to return information about properties.

Examples

The following example returns a list of Xilinx Tcl command categories:
help
This example loads the simulator feature of the Vivado Design Suite, and then returns a list of Tcl commands in the simulation and waveform categories:
load_features simulator  
help -category simulation  
help -category waveform
Returns a list of all commands matching the specified search pattern:
help *file*
This list can be used to quickly locate a command for a specific purpose, such as remove_files or delete_files.
The following help command returns a long description of the remove_files command and its arguments:
help remove_files
Note: You can also use the -args option to get a brief description of the command.
This example defines a procedure called short, and returns the -args form of help for the specified command:
proc short cmdName {help -args $cmdName}
Note: You can add this procedure to your init.tcl file to load this command every time the tool is launched. Refer to Chapter 1, Introduction of the Vivado Design Suite Tcl Command Reference (UG835) for more information on the init.tcl file.
The following examples show how to obtain help for properties of design objects, or a class of design objects:
help -class cell -prop NAME   
help -prop NAME [get_cells cpuEngine]
Note: In the preceding example, the first command returns general information related to the NAME property, while the second command also returns the value of the NAME property on the specified design object.