report_param - 2020.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2020-11-18
Version
2020.2 English

Get information about all parameters

Syntax

report_param [‑file <arg>] [‑append] [‑non_default] [‑return_string]
    [‑quiet] [‑verbose] [<pattern>]

Returns

param report

Usage

Name Description
[-file] Filename to output results to. (send output to console if -file is not used)
[-append] Append the results to file, don't overwrite the results file
[-non_default] Report only params that are set to a non default value
[-return_string] Return report as string
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<pattern>] Display params matching pattern Default: *

Description

Gets a list of all user-definable parameters, the current value, and a description of what the parameter configures or controls.

Arguments

-file <arg> - (Optional) Write the report into the specified file. The specified file will be overwritten if one already exists, unless -append is also specified.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.
-append - (Optional) Append the output of the command to the specified file rather than overwriting it.
Note: The -append option can only be used with the -file option.

-non_default - (Optional) Report only the parameters that have been changed from their default values.

-return_string - (Optional) Directs the output to a Tcl string rather than to the standard output. The Tcl string can be captured by a variable definition and parsed or otherwise processed.
Note: This argument cannot be used with the -file option.
-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

<pattern> - (Optional) Match parameters against the specified pattern. The default pattern is the wildcard '*' which gets all user-definable parameters.

Examples

The following example returns the name, value, and description of all user-definable parameters:
report_param
The following example returns the name, value, and description of user-definable parameters that match the specified search pattern:
report_param *coll*