Step 3: Synthesizing the Design - 2020.2 English

Vivado Design Suite Tutorial: Design Flows Overview (UG888)

Document ID
UG888
Release Date
2020-11-18
Version
2020.2 English
  1. Copy and paste the synth_design command from the create_bft_kintex7_batch.tcl script into the Tcl shell and wait for synthesis to complete. You can paste into the Tcl shell using the popup menu, by clicking the right mouse button.
    synth_design -top bft
    Note: The command in the example script is a comment. Do not copy the leading ‘#’ character, or your command will also be interpreted as a comment.
  2. Examine the synthesis report as it scrolls by.
  3. When the Vivado Tcl prompt has returned, copy and paste the write_checkpoint, report_timing_summary, report_power, report_clock_interaction, and report_high_fanout_nets commands that follow synthesis.
    write_checkpoint -force $outputDir/post_synth
    report_timing_summary -file $outputDir/post_synth_timing_summary.rpt
    report_power -file $outputDir/post_synth_power.rpt
    report_clock_interaction -delay_type min_max -file \
    $outputDir/post_synth_clock_interaction.rpt
    report_high_fanout_nets -fanout_greater_than 200 -max_nets 50 -file \
    $outputDir/post_synth_high_fanout_nets.rpt
  4. Open another window to look at the files created in the output directory. On Windows, it may be easier to use the file browser.

    <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output

  5. Use a text editor to open the various report (*.rpt) files that were created.