Step 7: Synthesizing and Implementing the Design - 2020.2 English

Vivado Design Suite Tutorial: Design Flows Overview (UG888)

Document ID
UG888
Release Date
2020-11-18
Version
2020.2 English

After configuring the synthesis and implementation run options, you can:

  • Use the Run Synthesis command to run only synthesis.
  • Use the Run Implementation command, which will first run synthesis if it has not been run and then run implementation.
  • Use the Generate Bitstream command, which will first run synthesis, then run implementation if they have not been run, and then write the bitstream for programming the Xilinx device.

For this tutorial, run these steps one at a time.

  1. In the Flow Navigator, click the Run Synthesis button.
  2. Click OK to launch Synthesis with the default options and wait for the task to complete.

    Notice the progress bar in the upper-right corner of the Vivado IDE, indicating the run is in progress. Vivado launches the synthesis engine in a background process to free up the tool for other actions. While the synthesis process is running in the background, you can continue browsing Vivado IDE windows, run reports, and further evaluate the design. You will notice that the Log window displays the synthesis log at the bottom of the IDE. This is also available through the Reports window.

    After synthesis has completed, the Synthesis Completed dialog box prompts you to choose the next step.

  3. Select Run Implementation, and click OK.
  4. Click OK to launch Implementation with the default options and wait for the task to complete.

    The implementation process is launched, and placed into a background process after some initialization.

The next step in this tutorial shows you how to perform design analysis of the synthesized design while waiting for implementation to complete.