Getting Help - 2020.2 English

Vivado Design Suite User Guide: Using Tcl Scripting (UG894)

Document ID
UG894
Release Date
2021-03-30
Version
2020.2 English

You can get help directly from the Tcl console. Every Vivado command supports the -help command line argument that can be used anywhere in the line.

For example:

Vivado% create_clock -help
Vivado% create_clock -name CLK1 -period 10 -help

In addition, there is a help command that provides additional information. Providing a command name to the help command (that is, help < command >) reports the same help information as < command > -help:

Vivado% help create_clock

The help command can also just return a short description of the arguments using the -args option:

Vivado% help create_clock -args
create_clock
Description: 
Create a clock object
Syntax: 
create_clock -period <arg> [-name <arg>] [-waveform <args>] [-add] [-quiet] 
[-verbose] [<objects>]
Returns: 
new clock object
Usage: 
  Name     Description
  ------------------------
  -period   Clock period: Value > 0
  [-name]   Clock name
  [-waveform] Clock edge specification
  [-add]    Add to the existing clock in source_objects
  [-quiet]   Ignore command errors
  [-verbose]  Suspend message limits during command execution
  [<objects>] List of clock source ports, pins or nets

A short summary of the syntax of a command is also available with the -syntax option:

Vivado% help create_clock -syntax
create_clock
Syntax: 
create_clock -period <arg> [-name <arg>] [-waveform <args>] [-add] 
[-quiet][-verbose] [<objects>]

In addition to providing help for the specific commands, the help command can also provide information on categories of commands or classes of objects. A list of categories can be obtained by executing the help command without any argument or option. A non-exhaustive list of categories is:

Vivado% help
ChipScope
DRC
FileIO
Floorplan
GUIControl
IPFlow
Object
PinPlanning
Power
Project
PropertyAndParameter
Report
SDC
Simulation
TclBuiltIn
Timing
ToolLaunch
Tools
XDC

The list of commands available under each category can be also reported with the -category option. For example, the following command reports all the commands under the Tools category:

Vivado% help -category tools
Topic      Description
link_design   Open a netlist design
list_features  List available features.
load_features  Load Tcl commands for a specified feature.
opt_design    Optimize the current netlist. This will perform the retarget,
                     propconst, and sweep optimizations by default.
phys_opt_design Optimize the current placed netlist.
place_design   Automatically place ports and leaf-level instances
route_design   Route the current design
synth_design   Synthesize a design using Vivado Synthesis and open that design