XDC Constraints: read_xdc versus source - 2020.2 English

Vivado Design Suite User Guide: Using Tcl Scripting (UG894)

Document ID
UG894
Release Date
2021-03-30
Version
2020.2 English

When applying constraints to the design, the commands read_xdc and source differ in behavior. The constraints applied through the command source are always saved inside the checkpoint after the constraints applied through the command read_xdc. To preserve the XDC constraints inside the checkpoint in the same order that they are applied to the design, use read_xdc -unmanaged instead of source.

For more information, see the Vivado Design Suite User Guide: Using Constraints (UG903).