Removing I/O Ports from an Interface - 2020.2 English

Vivado Design Suite User Guide: I/O and Clock Planning (UG899)

Document ID
UG899
Release Date
2021-03-09
Version
2020.2 English

To remove I/O ports, in the I/O Ports window right-click a port, and select Unassign from Interface.