Binding Compiled C Code to SystemVerilog Using xelab - 2020.2 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2020-11-23
Version
2020.2 English

The DPI-related switches for xelab that bind the compiled C code to SystemVerilog are as follows:

Table 1. DPI-Related Switches for xelab
Switch Description
-sv_root arg Root directory relative to which a DPI shared library should be searched. (Default: <current_directory>/xsim.dir/xsc)
-sv_lib arg Name of the DPI shared library without the file extension defining C function imported in SystemVerilog.
-sv_liblist arg Bootstrap file pointing to DPI shared libraries.
-dpiheader arg Generate a DPI C header file containing C declaration of imported and exported functions.
-dpi_absolute Use absolute paths instead of LD_LIBRARY_PATH on Linux for DPI libraries that are formatted as lib<libname>.so.
-dpi_stacksize arg User defined stack size for DPI tasks.

For more information on r-sv_liblist arg, refer to the IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language, Appendix J.4.1, page 1228.