open_checkpoint - 2020.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-02-26
Version
2020.2 English

The open_checkpoint command opens a design checkpoint file (DCP), creates a new in-memory project and initializes a design immediately in the new project with the contents of the checkpoint. This command can be used to open a top-level design checkpoint, or the checkpoint created for an out-of-context module.

Note: In previous releases, the read_checkpoint command was used to read and initialize checkpoint designs. Beginning in version 2014.1, this function is provided by the open_checkpoint command. The behavior of read_checkpoint has been changed such that it only adds the checkpoint file to the list of source files. This is consistent with other read commands such as read_verilog, read_vhdl, and read_xdc. A separate link_design command is required to initialize the design and load it into memory when using read_checkpoint.

When opening a checkpoint, there is no need to create a project first. The open_checkpoint command reads the design data into memory, opening the design in Non-Project Mode. Refer to this link in the Vivado Design Suite User Guide: Design Flows Overview (UG892) for more information on Project Mode and Non-Project Mode.

Important: In the incremental compile flow, the read_checkpoint command is still used to specify the reference design checkpoint.

open_checkpoint Syntax

open_checkpoint	[-part <arg>] [-quiet] [-verbose] <file>

open_checkpoint Example Script

# Read the specified design checkpoint and create an in-memory design. 
open_checkpoint C:/Data/post_synth.dcp

The open_checkpoint example script opens the post synthesis design checkpoint file.