Generating the QoR Suggestion Report - 2020.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-01-25
Version
2020.2 English

report_qor_suggestions can be run from the Vivado® IDE using the Reports > Report QoR Suggestions pulldown menu.

Figure 1. Report QoR Suggestions Dialog Box

When running in the Vivado IDE, the report_qor_suggestions command generates new suggestions and reports on existing suggestions.

The equivalent command at the Tcl console is:

report_qor_suggestions -name qor_suggestions_1

When report_qor_suggestions is run with -of_objects the command only reports existing suggestions. This command executes very quickly and is useful to see what suggestions are in an RQS file after it has been read:

report_qor_suggestions -of_objects [get_qor_suggestions <objectNames>]

To change the timing path limit from the default of 100, change the "Number of paths for suggestion analysis" shown in the dialog box. the equivalent in Tcl is the -max_paths <N> switch, where N is an integer:
report_qor_suggestions -max_paths <N>
The -report_all_suggestions switch instructs report_qor_suggestions to disregard some of the gating criteria in offering suggestions. The behavior is as follows:
  • Timing suggestions - offer suggestions on timing paths regardless of whether timing is met
  • Utilization suggestions - offer suggestions on a resource that is not critical
  • Congestion suggestions - offer suggestions on timing met designs at post route stage
For example:
report_qor_suggestions -report_all_suggestions