Multi-Corner Configuration Field - 2020.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-01-25
Version
2020.2 English

You can limit the default four-corner analysis performed by the Vivado timing analysis engine, as appropriate, using the options available in this field.

Equivalent Tcl command: config_timing_corners -corner <arg> -delay_type <arg>

For more information about config_timing_corners, refer to the Vivado Design Suite Tcl Command Reference Guide (UG835).