TIMING-9: Unknown CDC Logic - 2020.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-01-25
Version
2020.2 English

One or more asynchronous Clock Domain Crossing has been detected between two clock domains through a set_false_path, or a set_clock_groups, or a set_max_delay -datapath_only constraint. However, no double-registers logic synchronizer has been found on the side of the capture clock. It is recommended to run report_cdc for a complete and detailed CDC coverage. Also, consider using XPM_CDC to avoid critical severities