Working with QoR Suggestions Objects in the IDE - 2020.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-01-25
Version
2020.2 English

After the QoR suggestion report has been generated, you must then generate an RQS file that can be fed in to the Suggestion run. To do this, first select the suggestions to be included in the run and then write the QoR suggestion file. This is illustrated in the following figure:

Figure 1. Select/Write Suggestions