Serial Vector Format (SVF) File Programming - 2020.2 English

Vivado Design Suite User Guide: Programming and Debugging (UG908)

Document ID
UG908
Release Date
2020-12-07
Version
2020.2 English
Note: Serial Vector Format (SVF) programming is not supported on Versal™ devices.

An alternative way to program FPGAs and configuration memory devices is through the use of a serial vector format (SVF) file. The SVF file generated through Vivado® Design Suite and Vivado Lab Edition contains low level JTAG instructions and data required to program these devices. Once the file is generated it can be used by boundary scan test tools independent of the Vivado IDE.

The general steps to create an SVF file are as follows:

  1. Create an SVF offline target.
  2. Open the created SVF target.
  3. Add devices to the target to define the SVF JTAG scan chain.
  4. Program FPGAs or configuration memory devices.
  5. Write SVF.
  6. Close SVF target.
  7. (Optional) Execute SVF.

In step 4, the program operations are recorded in sequential order and stored a cached file. The cached file is then written out to a target destination in step 5. After the file is created, it can be used by boundary scan tools or executed through Vivado Design Suite or Vivado Lab Edition tools.

Important: The XSVF file format is not supported in Vivado IDE.