Introduction - 2020.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2021-02-04
Version
2020.2 English

The report_qor_suggestions command can generate an implementation design strategy that is predicted to be optimal for the design using machine learning algorithms. In this tutorial, you will look at:

  • How to generate ML Strategy suggestions
  • How to setup the implementation run to use ML Strategy suggestions
  • Reporting specifics related to ML Strategies