Step 2: Creating ML Strategy Runs - 2020.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2021-02-04
Version
2020.2 English
In this step we will use the files generated to create ML Strategy project based runs.
  1. Examine the contents of the ML_STRAT directory.

    You can see the following contents:

    1. 3 x RQS files
    2. 3 x Project based Tcl scripts
    3. 3 x Non project based Tcl scripts

    The RQS files are common for both project and non project flows. The non project scripts are examples of how to use the RQS file. The project based scripts can be sourced. Each of the 3 scripts references one of the RQS files. All three should be sourced.

  2. Source each of the project based Tcl files. Each will create a run in the Design Runs window, setup the RQS file and set the directives to RQS. The run options will be copied from the reference run.
    source ./impl_2Project_MLStrategyCreateRun1.tcl
    source ./impl_2Project_MLStrategyCreateRun2.tcl
    source ./impl_2Project_MLStrategyCreateRun3.tcl
  3. In the Design Runs window, select impl_2_ML_Strategy_1.
  4. In the Implementation Run Properties window, select the Properties tab and confirm that RQS_FILES is set.
  5. In the Implementation Run Properties window, select the Options and confirm the directive is set to RQS for each for the commands.

    You are now setup to run with ML Strategies. Once you have an ML Strategy file, you cannot generate new strategies after design changes but you can add other suggestions.

  6. You are now ready to launch the runs. Select all the ML Strategy runs, right-click, and select Launch Runs.... The runs will now complete like a standard run.