Step1: Generating an ML Strategy RQS File - 2020.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2021-02-04
Version
2020.2 English
This step shows the process of opening a routed design with QoR Suggestions and generating a new RQS file with strategies. For details on the design refer to Step 1: Understanding the Design.
  1. In the Vivado Design Suite, go to File > Project > Open and select the project located in <extract_Dir>/Lab3/project_2.

  2. In the Flow Navigator, click Open Implemented Design.
  3. At the Tcl console, type report_qor_assessment at the Tcl console.
  4. In the following Overall Assessment Summary table, you will see Flow Guidance. This table helps to identify good candidate designs to use ML Strategy suggestions. In this case, it is not a good candidate as it is the constructed design from lab 2 and the flow guidance recommends to further improve the design using QoR Suggestions.

    Whilst this design is not recommended for ML Strategies, this does not prohibit it from being used. The reason this design is not recommended, is down to the large timing violation.

  5. Further down the report in table 4 is the ML Strategy Availability table. This table details the required directives for the reference run in order to generate strategies.

    The status for all directives must be "OK" in order to generate strategies. In summary the requirements are:

    • opt_design directive must be either Default or Explore
    • place_design, phys_opt_design and route_design must be the same as each other and be either set to Default or Explore
  6. In the Design Runs window, confirm the strategy is Vivado Implementation Defaults. The above requirement is met when a design has been run with either the Vivado Implementation Defaults or Performance_Explore strategy.
  7. From the pull-down menus, select Reports > Report QoR Suggestions ..., and click OK.
  8. In the QoR suggestion report, select GENERATED. Here you will see 3 new strategies generated but they are not selectable. Strategy generation is currently only available using Tcl and for this reason they are not selectable.

  9. Select RQS_STRAT-66-1. Here you can see the details of the strategy being recommended. It is possible to see the details of the strategy suggested. It would be possible manually set these up as shown but in order to automate the process more easily, the recommended flow is to read an RQS file containing strategies, and set the directive to RQS on the implementation commands.

  10. At the Tcl console, ensure you are in a suitable writable directory. This can be at the same level as the project. Also, issue the write_qor_suggestions command to write out the suggestions as shown in the following example.
    file mkdir <extract_Dir>/Lab3/project_2/ML_STRAT
    cd <extract_Dir>/Lab3/project_2/ML_STRAT
    write_qor_suggestions -strategy_dir ./

    This writes one RQS file per strategy. Each RQS file also contains all of the other suggestions that are not strategy suggestions. This ensures you can use all the other QoR suggestions and the tools do not get confused which strategy suggestion they should select.