Summary - 2020.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2021-02-04
Version
2020.2 English

In this lab, you used report_qor_suggestions to generate ML Strategies. Then created the RQS and Tcl files using write_qor_suggestions. Finally you sourced the Tcl to setup the ML Strategy runs and confirmed the key aspects of how to setup an ML Strategy run.