Parameter Propagation - 2020.2 English

Vivado Design Suite User Guide: Designing IP Subsystems Using IP Integrator (UG994)

Document ID
UG994
Release Date
2021-01-04
Version
2020.2 English

In IP integrator, parameter propagation takes place when you choose to run Validate Design. You can do this in one of the following ways:

  • Click Validate Design in the Vivado® IDE toolbar.
  • Click Validate Design button in the design canvas toolbar, or press F6.
  • Select Tools > Validate Design from the Vivado menu.
  • Use the Tcl command: validate_bd_design at the Tcl Console.

Parameter propagation synchronizes the configuration of an IP instance with that of other instances to which it is connected. The synchronization of configuration happens at bus interface parameters.

The parameter propagation in the IP integrator works primarily on the concept of assignment strength for an interface parameter. An interface parameter can have a strength of USER, CONSTANT, PROPAGATED, or DEFAULT. When the tool compares parameters across a connection, it always copies a parameter with higher strength to a parameter with lower strength.