Step 6: Editing Power Properties and Refining the Power Analysis - 2020.2 English

Vivado Design Suite Tutorial: Power Analysis and Optimization (UG997)

Document ID
UG997
Release Date
2020-11-18
Version
2020.2 English

Assume the reset is asserted for 10% of the cycles in this design. Switching activity can be set accordingly to re-estimate the power.

  1. In the Net Properties window, click the Edit Properties button.
  2. In the Edit Power Properties dialog box, change the Toggle rate to 4% and the Static probability to 0.1.

  3. Click OK.
  4. In the Net Properties window, observe that the Toggle Rate and Static Probability values turn a different color to indicate that they are user defined.

    You can also observe the equivalent Tcl command executed in the Tcl Console.

  5. Rerun Report Power (Reports > Report Power).
  6. Change the Output text File and Output XPE File in the Output tab to power_2.pwr and power_2.xpe respectively.
  7. In the Switching tab, set Switching Activity for Resets: to None. Then click OK.
  8. In the Power window, note the change in total power reported in the power_2 report compared to the power_1 report. The total power has decreased due to the change in the Signal Rate for the dut/dut_reset signal. Because the signal is a reset signal, an increase in its activity will significantly reduce the activity of other signals in the design. The Signal Rate of the dut/dut_reset signal is now color coded as being User Defined in both the properties window and the Set/Reset view of the Power Report.

    You can also observe the equivalent Tcl command executed in the Tcl Console.



Xilinx recommends you to double-check the signal rates and percentage high (%high) values of high impact I/O ports, control signals (such as resets and clock enables) and high fanout nets. This is an opportunity to guide the Report Power tool to the right estimation scenario.

See the Vivado Design Suite User Guide: Power Analysis and Optimization (UG907) for more information on switching activity.

Tip: In Tcl, use the set_switching_activity command to change the signal rate and static probability of signals and use report_switching_activity to query the values that were set on the signals.
set_switching_activity -signal_rate 4 -static_probability 0.1 [get_nets dut/dut_reset]
      report_switching_activity [get_nets dut/dut_reset]
Important: Switching activity can also be specified in terms of toggle rate. Toggle rate is always associated with a clock. The primary ports can be associated with a specific clock using the set_input_delay and set_output_delay commands. If no clock association is found, Report Power will associate the ports with respect to the capturing clock.

For a clock of 100 MHz and a toggle rate of 4, the equivalent signal rate will be 4 MTr/s (signal_rate = toggle_rate * Freq = 4 * 100 MHz ).