XilSKey_ZynqMp_EfusePs_ReadDna - 2021.1 English

Xilinx Standalone Library Documentation OS and Libraries Document Collection (UG643)

Document ID
UG643
Release Date
2021-06-16
Version
2021.1 English

This function is used to read DNA from eFUSE.

Prototype

void XilSKey_ZynqMp_EfusePs_ReadDna(u32 *DnaRead);

Parameters

The following table lists the XilSKey_ZynqMp_EfusePs_ReadDna function arguments.

Table 1. XilSKey_ZynqMp_EfusePs_ReadDna Arguments
Type Name Description
u32 * DnaRead Pointer to an array of 3 x u32 words which holds the readback DNA.