config_implementation - 2021.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2021-06-16
Version
2021.1 English

Configure Implementation

Syntax

config_implementation [‑quiet] [‑verbose] [<list>]

Usage

Name Description
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<list>] list of config params which need to be configured

Categories

Configuration

Description

This command lets you configure implementation parameters to control the behavior of the implementation process.

The list of properties that can be configured, or have been configured by this command can be reported by the report_config_implementation command.

Arguments

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

<list> - (Required) A list of parameters and their values, to configure with the command. The parameters and their values must be enclosed in braces, and the list must be enclosed in braces as show in the Example below.

Examples

The following example configures implementation parameters:
config_implementation { {incr.ignore_user_clock_uncertainty True} {<other_param> <other_value>} }