get_clocks - 2021.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2021-06-16
Version
2021.1 English

Get a list of clocks in the current design

Syntax

get_clocks [‑regexp] [‑nocase] [‑filter <arg>] [‑of_objects <args>]
    [‑match_style <arg>] [‑include_generated_clocks] [‑quiet] [‑verbose]
    [<patterns>]

Returns

List of clocks.

Usage

Name Description
[-regexp] Patterns are full regular expressions
[-nocase] Perform case-insensitive matching (valid only when -regexp specified)
[-filter] Filter list with expression
[-of_objects] Get clocks of these pins, nets, or cells
[-match_style] Style of pattern matching, valid values are ucf, sdc Default: sdc
[-include_generated_clocks] Include auto-inferred/generated_clocks also.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<patterns>] Match clock names against patterns Default: *

Categories

SDC, XDC, Object

Description

Gets a list of clocks in the current design that match a specified search pattern. The default command gets a list of all clocks in the design, like the all_clocks command.

Clocks can be created using the create_clock or the create_generated_clock commands, or can be automatically generated by the tool, at the output of an MMCM for instance.

Note: To improve memory and performance, the get_* commands return a container list of a single type of objects (e.g. cells, nets, pins, or ports). You can add new objects to the list (using lappend for instance), but you can only add the same type of object that is currently in the list. Adding a different type of object, or string, to the list is not permitted and will result in a Tcl error.

Arguments

-regexp - (Optional) Specifies that the search <patterns> are written as regular expressions. Both search <patterns> and -filter expressions must be written as regular expressions when this argument is used. Xilinx regular expression Tcl commands are always anchored to the start of the search string. You can add ".*" to the beginning or end of a search string to widen the search to include a substring. See http://perldoc.perl.org/perlre.html for help with regular expression syntax.
Note: The Tcl built-in command regexp is not anchored, and works as a standard Tcl command. For more information refer to http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm.

-nocase - (Optional) Perform case-insensitive matching when a pattern has been specified. This argument applies to the use of -regexp only.

-filter <args> - (Optional) Filter the results list with the specified expression. The -filter argument filters the list of objects returned by get_clocks based on property values on the clocks. You can find the properties on an object with the report_property or list_property commands. In the case of the clock object, "PERIOD", "WAVEFORM", and "IS_GENERATED" are some of the properties that can be used to filter results.

The filter search pattern should be quoted to avoid having to escape special characters that may be found in net, pin, or cell names, or other properties. String matching is case-sensitive and is always anchored to the start and to the end of the search string. The wildcard “*” character can be used at the beginning or at the end of a search string to widen the search to include a substring of the property value.
Note: The filter returns an object if a specified property exists on the object, and the specified pattern matches the property value on the object. In the case of the "*" wildcard character, this will match a property with a defined value of "".
For string comparison, the specific operators that can be used in filter expressions are "equal" (==), "not-equal" (!=), "match" (=~), and "not-match" (!~). Numeric comparison operators <, >, <=, and >= can also be used. Multiple filter expressions can be joined by AND and OR (&& and ||). The following gets input pins that do NOT contain the “RESET” substring within their name:
get_pins * -filter {DIRECTION == IN && NAME !~ "*RESET*"}
Boolean (bool) type properties can be directly evaluated in filter expressions as true or not true:
-filter {IS_PRIMITIVE && !IS_LOC_FIXED}
-of_objects <args> - (Optional) Get the clocks connected to the specified cell, pin, port, or net objects.
Note: The -of_objects option requires objects to be specified using the get_* commands, such as get_cells or get_pins, rather than specifying objects by name. In addition, -of_objects cannot be used with a search <pattern>.

-match_style - (Optional) Indicates that the search pattern matches UCF constraints or SDC constraints. The default is SDC.

-include_generated_clocks - (Optional) Returns all clocks, including generated clocks that match the specified pattern. This argument should be used when clock <patterns> are specified in order to return generated clocks of the specified master clocks.
Note: You can get just the generated clocks with the get_generated_clocks command.
-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.
<patterns> - (Optional) Match clocks against the specified patterns. The default pattern is the wildcard '*' which gets all clocks in the project. More than one pattern can be specified to find multiple clocks based on different search criteria.
Note: You must enclose multiple search patterns in braces, {}, or quotes, "", to present the list as a single element.

Examples

The following example gets a list of clocks matching the various search patterns:
get_clocks {*clock *ck *Clk}
Note: If there are no clocks matching the pattern you will get a warning.
The following example gets the master clock object, and all generated clocks derived from that clock:
get_clocks -include_generated_clocks wbClk
The following example gets all properties and property values attached to the specified clock:
report_property -all [get_clocks wbClk]