Dumping VCD in Questa Advanced Simulator/ModelSim - 2021.1 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2021-06-16
Version
2021.1 English

Questa Advanced Simulator/ModelSim uses explicit VCD commands to dump a VCS file, as follows:

  1. Open the VCD file:
    vcd file my_vcdfile.vcd
  2. Specify the scope or signals to dump:
    vcd add <hdl_objects>
  3. Run simulation for a specified period of time (or run -all).

For more detailed usage or information about each commands, see the ModelSim documentation.

Example DO File:

vcd file my_vcdfile.vcd
vcd add -r tb/fpga/*
run 500us
quit