Using the Value Change Dump Feature - 2021.1 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2021-06-16
Version
2021.1 English

You can use a Value Change Dump (VCD) file to capture simulation output. The Tcl commands are based on Verilog system tasks related to dumping values.

For the VCD feature, the Tcl commands listed in the table below model the Verilog system tasks.

Table 1. Tcl Commands for VCD
Tcl Command Description
open_vcd Opens a VCD file for capturing simulation output. This Tcl command models the behavior of $dumpfile Verilog system task.
checkpoint_vcd Models the behavior of the $dumpall Verilog system task.
start_vcd Models the behavior of the $dumpon Verilog system task.
log_vcd Logs VCD for the specified HDL objects. This command models behavior of the $dumpvars Verilog system task.
flush_vcd Models behavior of the $dumpflush Verilog system task.
limit_vcd Models behavior of the $dumplimit Verilog system task.
stop_vcd Models behavior of the $dumpoff Verilog system task.
close_vcd Closes the VCD generation.

See the Vivado Design Suite Tcl Command Reference Guide (UG835), or type the following in the Tcl Console:

<command> -help 

Example:

open_vcd xsim_dump.vcd
log_vcd /tb/dut/*
run all
close_vcd
quit

See Verilog Language Support Exceptions for more information.

You can use the VCD data to validate the output of the simulator to debug simulation failures.