Value Rules in Vivado Simulator Tcl Commands - 2021.1 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2021-06-16
Version
2021.1 English

This appendix contains the value rules that apply to both the add_force and the set_value Tcl commands.