Automatic Incremental - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English

Automatic Incremental Implementation allows a user to activate the Incremental Implementation flow but let Vivado decide whether to use the default or incremental algorithms at the time read_checkpoint -auto_incremental is issued. It bases this decision on the quality of the reference checkpoint.

In order to accept the reference checkpoint, the following criteria must be met:

  • 94% cell matching
  • 90% net matching
  • WNS > -0.250

By guaranteeing a good reference checkpoint, the incremental flow can get good QoR results and when the checkpoint is poor a new place and route solution is sought.

In project mode, the updating of the checkpoint is also managed for you and is adhering to the above criteria. In non project mode, the user has control over whether to update the checkpoint.

The flow is activated using the following command:

read_checkpoint -incremental -auto_incremental <reference>.dcp

When updating the checkpoint, it is worth checking to ensure that WNS has not degraded beyond acceptable limits. This can be done by running the following command at the end of the implementation flow:

if {[get_property SLACK [get_timing_path]] > -0.250} { 
file copy -force <postroute>.dcp <reference>.dcp
}