Incremental Reuse Summary - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English

1.	Reuse Summary
--------------------

+----------+----------------------+--------------------+--------------------+-------+
|	Type | Matched % (of Total) | Reuse % (of Total) | Fixed % (of Total) | Total |
+----------+----------------------+--------------------+--------------------+-------+

|    Cells |	100.00           |	100.00         |	0.00           |    16 |
+----------+----------------------+--------------------+--------------------+-------+


2.	Reference Checkpoint Information
---------------------------------------

+-------------------+-------------------------+
| DCP Location:	| ./impl_1/bft_routed.dcp |
+-------------------+-------------------------+



+----------------------------------+-------------------------------+
|	DCP Information	         |	Value                     |
+----------------------------------+-------------------------------+
| Vivado Version	              |	v2018.1                   |
| DCP State	                   |   POST_ROUTE                  |
| Recorded WNS	                |	1.749                     |
| Recorded WHS	                |	0.024                     |
| Reference Speed File Version	| PRODUCTION 1.24.01 01-12-2017 |
| Incremental Speed File Version   | PRODUCTION 1.24.01 01-12-2017 |
+----------------------------------+-------------------------------+


3.	Comparison with Reference Run
------------------------------------

+----------------+---------------------+-----------------------+--------------------------+
|	           |	WNS(ns)	    |Runtime(elapsed)(hh:mm)| Runtime(cpu)(hh:mm)      |
+----------------+---------+-----------+-----------+-----------+-----------+--------------+
|	Stage	 |Reference|Incremental| Reference |Incremental|Reference  |Incremental   |
+----------------+---------+-----------+-----------+-----------+-----------+--------------+
| synth_design   | 1.09    |           | < 1 min   |    00:01  |           | 00:01        |  
 
| opt_design	|         |           |  00:01    |    00:01  |     00:01 |  00:01       |
    
| read_checkpoint|	    |	      |		  | < 1 min  |		  |  < 1 min	|
| place_design   | 2.338   |	1.721 | < 1 min   |  < 1 min  | < 1 min   |  < 1 min	|
| route_design   | 1.749   |	1.746 | 00:01	| 00:01	|	00:01 |	00:001   |
+----------------+---------+-----------+-----------+-----------+-----------+--------------+

4.	Non Reuse Information
----------------------------

+------------------+----------+
|	Type	    |	%	|
+------------------+----------+

| Non-Reused Cells | 0.00     |
+------------------+----------+