Intermediate Route Results - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English

Even when routing fails, the router continues and tries to provide a design that is as complete as possible to aid in debug. If the routing is not complete, you might have to intervene manually.

Use the report_route_status command to identify nets with routing errors. For more information see this link in the UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs (UG949).

The router reports routing congestion during Route finalize. The highest congested regions are listed for each direction (North, East, South, and West). For each region, the information includes the dimensions in routing tiles, the routing utilization labeled "Max Cong," and the bounding box coordinates (lower-left corner to upper-right corner). The “INT_xxx” numbers are the coordinates of the interconnecting routing tiles that are visible in the device routing resource view.

Table 1. Commands Used During Routing for Design Analysis
Command Function
report_route_status Reports route status for nets
report_timing Performs path endpoint analysis
report_design_analysis Provides information about congested areas

For a complete description of the Tcl reporting commands and their options, see the Vivado Design Suite Tcl Command Reference Guide (UG835).