Loading the Design Netlist in Project Mode Before Implementation - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English

In Project Mode, after synthesis of an RTL design, or with a netlist-based project open, you can load the design netlist for analysis before implementation.

To open a synthesized design, do one of the following:

  • From the main menu, run Flow > Open Synthesized Design.

  • In the Flow Navigator, run Synthesis > Open Synthesized Design.
  • In the Design Runs window, select the synthesis run and select Open Run from the context menu.