Using Incremental Implementation in Non-Project Mode - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English
To specify a design checkpoint file (DCP) to use as the reference design, and to run incremental place in Non-Project Mode:
  1. Load the current design.
  2. Run opt_design.
  3. Run read_checkpoint -incremental <dcp_file>.
  4. Run place_design.
  5. Run phys_opt_design (optional). Run phys_opt_design if it was used in the reference design.
  6. Run route_design.
    link_design; # to load the current design opt_design
    read_checkpoint -incremental <dcp_file> 
    place_design
    phys_opt_design; 
    route_design