Command Options - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English
-report_all_suggestions
The switch instructs report_qor_suggestions to disregard some of the gating criteria in offering suggestions. The behavior is as follows:
Timing suggestions
Offer suggestions on timing paths regardless of whether timing is met.
Utilization suggestions
Offer suggestions on a resource that is not critical.
Congestion suggestions
Offer suggestions on timing met designs at post-route stage.
-of_objects <suggestion objects>
Enables reporting of specific suggestions. When run in this mode, report_qor_suggestions does not generate new suggestions. This command executes quickly and can be used to see what suggestions are in an RQS file after it has been read. An example of its use is as follows:
report_qor_suggestions -of_objects [get_qor_suggestions <objectNames>]
-max_paths <N>
Changes the number of timing paths per clock group report_qor_suggestions analyzes. Expanding this number can report on timing paths that are not fully optimized by the implementation tools, leading to additional suggestions. The default value is 100.
-max_strategies <N>
Changes the number of ML strategies generated. The default value is 3
-cells <cellName>
Changes the top level cell for the analysis performed. The default value is the top level of the design.
-csv <csvFileName>.csv
Generates a CSV file with timing paths associated to QoR suggestions. Allows you to see if a timing path is impacted by more than one suggestion.