Exporting the Merged Exceptions - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English

The Report Exception command can export the list of timing exceptions as seen by the STA engine. The Vivado timing engine internally merges the timing exceptions to reduce memory and runtime. If the number of merged timing exceptions is different from the number of timing exceptions specified for the design, then this could mean that the timing exceptions are not optimally defined. The merged timing exceptions are reported with report_exceptions -write_merged_exceptions.

Note: Timing constraints set_clock_group and set_bus_skew are not exported.
Note: Invalid startpoints and endpoints are not filtered out when the merged timing exceptions are exported.

The following figure illustrates the report_exceptions -write_merged_exceptions on the two False Path constraints explained in the section Reporting the Ignored Objects. The second False Path includes all the registers pins because the pattern for -from/-to for the get_pins command is int21_reg/*.

Figure 1. Merged Exceptions