Non-Project Mode - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English

When opening a checkpoint, only the Export Suggestions button is available. This writes the suggestion file that must then be added to the run using read_qor_suggestions. The read_qor_suggestions command should be run either before synth_design or before opt_design.

The equivalent TCL commands for this flow are:

write_qor_suggestions -of_objects [get_qor_suggestions \
{<NAME_1> <NAME_2>}] -file <fn.rqs>
…
read_vhdl <some_file>.vhd
read_qor_suggestions all_enabled_suggestions.rqs 
synth_design -top <top> -part <part>
opt_design
...
write_qor_suggestions -force all_enabled_suggestions.rqs
Note: report_qor_suggestions cannot be run until a design is loaded.