Report Route Status - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English

The Route Status Report is generated during the implementation flow and is available by using the report_route_status Tcl command.

The Route Status Report displays a breakdown of the nets in the design as follows:

  • The total number of logical nets in the design
    • The number of nets that do not need routing resources
    • The number of nets that do not use routing resources outside of a tile. Examples include nets inside of a CLB, BlockRam, or I/O Pad.
    • The number of Nets without loads, if any exist
    • The number of routable nets that require routing resources
    • The number of unrouted nets, if any exist
    • The number of fully routed nets
    • The number of nets with routing errors
    • The number of nets with some unrouted pins, if any exist
    • The number of nets with antennas/islands, if any exist
    • The number of nets with resource conflicts, if any exist

    The following is an example of the Report Route Status for a fully routed design:

    Design Route Status
                                                    :     # nets :
        ------------------------------------------- : -----------:
        # of logical nets.......................... :       6137 :
            # of nets not needing routing.......... :        993 :
                # of internally routed nets........ :        993 :
            # of routable nets..................... :       5144 :
                # of fully routed nets............. :       5144 :
            # of nets with routing errors.......... :          0 :
        ------------------------------------------- :----------- :