UTIL-203: Large ROM Inferred using Distributed RAM - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English

ROMs whose array depth is significantly over 64 bits are better inferred into Block RAM. The synthesis tool tries to do this by default but sometimes it is unable to do so due to coding or constraint restrictions.

The primary reason for not inferring a Block RAM is a missing output register. Block RAMs only support a synchronous read, but Distributed RAMs do not have this requirement. The second reason when reading the array or a ROM_STYLE attribute forcing the type of resource that must be inferred.

By making a simple modification, you can expect improvements in LUT utilization, timing, and where applicable, congestion.