Working with QoR Suggestions Objects in the IDE - 2021.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-06-30
Version
2021.1 English

After the QoR suggestion report has been generated, generate an RQS file that can be fed into the suggestion run. To do this, first select the suggestions to be included in the run and then write the QoR suggestion file. This is illustrated in the following figure.

Figure 1. Select/Write Suggestions