Launching the Vivado Lab Edition from the Command Line on Windows or Linux - 2021.1 English

Vivado Design Suite User Guide Programming and Debugging (UG908)

Document ID
UG908
Release Date
2021-06-16
Version
2021.1 English

Enter the following command at the command prompt:

vivado_lab
Tip: To run vivado_lab at the command prompt, set up your environment using the following script:
C:\Xilinx\Vivado_Lab\2020.x\settings64.(bat|sh)

You can open the Vivado Lab Edition from any directory. However, Xilinx recommends running it from a writable project directory, because the Vivado Lab Edition log and journal files are written to the launch directory. When running from a command prompt, launch the Vivado IDE from the project directory, or use the vivado_lab -log and journal options to specify a location. When using a Windows shortcut, you must modify the Start in folder, which is a property of the shortcut. Failure to launch from a writable project directory results in warnings and unpredictable behavior from the tool.