Using Incremental Compile - 2021.1 English

Vivado Design Suite User Guide Programming and Debugging (UG908)

Document ID
UG908
Release Date
2021-06-16
Version
2021.1 English

In both Project Mode and Non-Project Mode, incremental place and route mode is entered when you load the reference design checkpoint using the read_checkpoint -incremental <reference_dcp_file> command where <reference_dcp_file> specifies the path and file name of the reference design checkpoint. Loading the reference design checkpoint with the -incremental option enables the Incremental Compile design flow for subsequent place and route operations. In Non-Project Mode, read_checkpoint -incremental should: (1) follow opt_design and; (2) precede place_design. If using the debug insertion flow the debug core related XDC commands should precede opt_design.