Lab 3: Running Simulation in Batch Mode - 2021.1 English

Vivado Design Suite Tutorial: Logic Simulation (UG937)

Document ID
UG937
Release Date
2021-07-14
Version
2021.1 English

You can use the Vivado® simulator Non-Project Mode flow to simulate your design without setting up a project in Vivado® Integrated Design Environment (IDE).

In this flow, you:

  • Prepare the simulation project manually by creating a Vivado simulator project script.
  • Create a simulation snapshot file using the Vivado simulator xelab utility.
  • Start the Vivado simulator GUI by running the xsim command with the resulting snapshot.